熊本県菊陽町の台湾積体電路製造(TSMC)の熊本工場(写真:菅敏一)

特許情報を分析すると、世界のビジネスの未来が見える。知的財産情報企業、米レクシスネクシスでIPソリューションズ・シニアコンサルタントを務める金原淳一氏に、注目の台湾積体電路製造(TSMC)の熊本第1工場で適用される微細化技術の特許分析をしてもらった。

◇    ◇    ◇

半導体の微細化技術とは「単位面積当たりに集積可能なトランジスタ数を増やすために、トランジスタや回路パターンをより微細に製造する技術」を指す。この微細化技術についての「ムーアの法則」はスケーリング則とも呼ばれ、より新しい製造技術で半導体製品が製造されること=「同じ大きさの半導体製品の性能が指数関数的に向上すること」と「同じ性能の半導体製品の製造コストが指数関数的に削減されること」を示す。

例えば、1969年にアポロ11号へ搭載されたアポロ誘導コンピューターと比べると、2019年に一般的に普及していたスマートフォンのシステム・オン・チップ(SoC)の性能は1億倍以上向上したといわれている。ムーアの法則は半導体製品の驚異的な小型化・高速化・大容量化・高機能化・省電力化・低価格化と重なる。半導体はクラウドサーバーなどの情報化社会を支えるインフラにも用いられ、指数関数的な性能向上が「第3次産業革命」をもたらしたと言っても過言ではないだろう。

ムーアの法則は、米電気工学者のゴードン・ムーア氏が1965年に発表。当時の実績に基づいた経験則であり、ムーア氏は米インテルの最高経営責任者に就いた75年、集積度が倍増する期間を「半導体の集積度は約2年ごとに倍増する」とし、これが半導体業界において「製造技術世代」=「プロセスノード」の定義付けなどの指針となった。

分かりやすく言えばプロセスノードは数字が小さいほど最先端であることを示している。ムーアの法則は微細化技術や次世代トランジスタの構造・材料を研究開発するためのロードマップとして扱われ、それに沿った研究開発が続けられなかった企業は次世代技術の競争から脱落。その結果、半導体業界は寡占化が進んだ。ファウンドリー(半導体受託生産会社)ではTSMCと韓国のサムスン電子が世界の市場をけん引する。

ファウンドリー大手2社は歴代の最先端半導体の量産工場を全てそれぞれの本拠地に建設してきた。しかし近年、日米欧の政府機関は経済安全保障や半導体サプライチェーン(供給網)強じん化の観点から両社の工場誘致に力を入れ、現在は日独米の3カ国においてTSMCが新工場の建設を計画している。2027年ごろの稼働を計画するドイツ、米国の新工場建設計画に先駆けて、同社の子会社は24年2月に熊本第1工場を開所。年末までの稼働を目指している。熊本第1工場では「22/28nm(ナノメートル、ナノは10億分の1)ノード」と「12/16nmノード」の製造プロセスを適用した半導体の量産を行う計画だ。

TSMCは台湾の本拠地では22年から「3nmプロセスノード」を適用した最先端半導体の量産を手掛けており、これに比べると熊本での製造分は先端とは言えない。28〜12nmプロセスノードの半導体は、11〜16年ごろには米アップルのスマートフォン「iPhone 5S」から「iPhone 7」までやソニーの家庭用ゲーム機「プレイステーション4」などの製造に使用された。ただ、今後、自動運転車、産業機器、エッジコンピューティングなど多種多様な用途に適用されることが見込まれるため、重要な製造技術であることには変わりなく、「準先端」と言えそうだ。

同社が台湾外に同技術世代の準先端半導体量産工場を建設するのは、18年に稼働し始めた中国・南京工場に続き2例目となる。この世代の半導体は台湾の聯華電子(UMC)、米グローバルファウンドリーズ(GF)、中国の中芯国際集成電路製造(SMIC)など複数の企業も量産。TSMCの熊本工場の半導体はこれらの企業と競合することになる。

稼働が近づく、注目の台湾積体電路製造(TSMC)の熊本第1工場にはどのような微細化技術が用いられ、どんな会社が特許を持っているのか。必要な要素技術をやや深掘りして把握しながら詳しく見ていこう。

半導体の製造プロセスは、シリコンウエハーの製造から半導体製品の動作確認まで長くて複雑だ。各プロセスノードの各工程において異なる種類の製造装置や材料・レシピが必要だが、微細化の観点から最重要なのが写真製版技術やリトグラフを応用した「フォトリソグラフィー工程」だ。

フォトリソグラフィー工程は、フォトレジスト(感光剤)の塗布、露光(レーザー光の照射)、フォトレジストの現像のステップで構成される。露光機(縮小投影型露光装置)はレチクル(原版)に描画された微細な回路パターンをシリコンウエハー上に縮小転写する装置だ。原則としてこの技術工程によってシリコンウエハー上に形成されるトランジスタの微細構造や配線パターンの大きさが決まる。このため、分かりやすく表現すれば「半導体の微細化には露光機が最も重要な製造装置」だといえる。

フォトリソグラフィーには種類があり、露光機の投影レンズとシリコンウエハーの間に超純水を満たして露光する技術は「液浸リソグラフィー技術」と呼ばれ、液浸リソグラフィー用の露光機は「液浸露光機」と呼ばれる。現在の準先端半導体の量産に用いられてきたのが液浸露光機であり、TSMCの熊本第1工場の製造プロセスにも液浸リソグラフィー技術が使われる。

ここからは、米レクシスネクシスの特許分析ツール「パテントサイトプラス」を用いて、キーデバイスである液浸露光機の特許を分析していこう。

レクシスネクシスは、同一の発明の特許出願をまとめて「特許ファミリー」のデータを整理した上で、各特許ファミリーの競争力を他社からの引用数などに基づいて測る「競争力指数」を算出している。各社がある分野に持つ特許ファミリーの競争力指数を合算すればその分野で保有する総価値も算出可能であり、レクシスネクシスはこれを「パテント・アセット・インデックス」としている。この手法を使えばある分野の特許総価値も出すことができ、総価値に占める各社の占有率を導くことも可能だ。

図1は、液浸露光機の特許を分析するために、液浸リソグラフィー技術や露光機の重ね合わせ精度向上技術を含む広義の「液浸露光技術」に関連する特許ファミリー(各報告年時点の生存特許ファミリー)の特許総価値占有率について、露光機メーカー別に経年変化をプロットしている。

図1 露光機メーカー別に見た液浸露光技術の特許総価値占有率の経年変化

ASMLがニコンを逆転し、引き離す

特許総価値占有率の動向に注目すると、まず2000年代にはニコンが25%前後を維持したのに対して、キヤノンは10%未満へと低下。一方でオランダのASMLは約6%から約30%へと上昇し、06年ごろにニコンを逆転しているのが目立つ。4位のドイツのカールツァイスSMTは、1997年からASMLと戦略的パートナーシップ関係にある光学機器メーカーだ。この間日本企業と欧州勢が開発競争を繰り広げていたことが分かる。

ASMLは14年ごろまで約30%を維持すると、特許総価値占有率を順調に上昇させ23年には約50%に達している。一方、10〜11年ごろに約26%だったニコンの特許総価値占有率は下降傾向が続き、22年以降は10%未満となっている。4%前後を維持するカールツァイスSMTは、16年からASMLと資本業務提携関係にある。液浸露光機の市場ではここしばらくASMLによる寡占化が進んでおり、特許分析から背後にある各社の競争力の変化が分かる。

液浸リソグラフィー技術では日本企業も活躍

ここからは露光機メーカー以外のメインプレーヤーと必要な要素技術を把握するため、液浸露光機自体ではなく、ベースとなる液浸リソグラフィー技術に絞って深掘りし、有望な特許を持つ企業をリサーチしてみよう。ここには液浸リソグラフィー技術を半導体製造のプロセスに使うために必要な、液浸用レジスト(感光剤)、シリコンウエハーの表面に液浸用レジストを塗布し液浸露光後に現像するフォトレジスト塗布現像装置などの技術も含まれる。

図2は、液浸リソグラフィー技術の特許ファミリーの特許総価値占有率の上位10社をピックアップした上で、横軸を特許ファミリー件数(23年末時点の生存特許ファミリー件数の累計)、縦軸を競争力指数(各社の当該技術の特許ファミリー1件当たりの平均値)としてプロットしている。ただし「液浸リソグラフィー技術」には、液浸露光に特有の技術のみが含まれており、他のリソグラフィー技術にも用いられている共通技術は含まれていない。図2において、それぞれの企業の円(バブル)の大きさは各社の液浸リソグラフィー技術に関する特許ファミリーの総価値を示す。

図2 液浸リソグラフィー技術の特許総価値上位10社のポジショニング

横軸に注目すると、図2の右側にプロットされている特許ファミリー件数の上位2社はASMLとニコンとなっており、この技術は液浸露光機メーカーにとって特有の技術開発を伴うことが分かる。この分野では液浸用レジストも重要であり、特許総価値占有率の上位10社には米デュポン、信越化学工業、富士フイルム、東京応化工業、JSRという5社の化学メーカーが登場する。また東京エレクトロンはフォトレジスト塗布現像装置を供給しており、液浸リソグラフィー工程において欠かせない企業の1つだ。

一方、上位10社にランクインしたファウンドリーはTSMCのみ。サプライヤーから供給される製造装置や材料に関しても競合他社と比べて深い知見を有した上で、同社が半導体の製造プロセスを最適化している可能性が示唆される。

注目されるのは日本企業の健闘だ。図2の上位10社中6社、特に液浸用レジストを供給する化学メーカーは5社中4社が日本企業だ。複数の日本企業がこの技術による半導体の量産に必要不可欠であり、準先端半導体の量産工場を日本に建設することは理にかなっていると言える。

日本企業の影が薄いマルチパターニング技術

TSMCの熊本第1工場で適用される22/28nmノードと12/16nmノードの製造プロセスに用いられる11〜16年ごろの微細化技術は、液浸リソグラフィー技術と「マルチパターニング技術」を組み合わせている。

開発の歴史を振り返ると、液浸リソグラフィー技術は06年ごろの55nmノードから18年ごろの7nmノードまでの長い期間にわたって歴代の最先端半導体(つまり現在の準先端半導体)の量産に用いられたが、07〜08年ごろに液浸露光機の解像度が38nmで限界に達した。その結果、液浸リソグラフィー技術に加えて、露光、エッチング、成膜などの各種プロセス技術を駆使することで微細化を実現する「マルチパターニング技術」が必要となった。通常の「シングルパターニング」を浮世絵の墨摺(ずり)絵の制作技術に例えると、パターン分割型マルチパターニングは、見当で複数の版木を位置合わせして多色刷りする錦絵の制作技術に例えられる。

マルチパターニング技術に関しても特許分析からメインプレーヤーを把握しておこう。1度目の露光と2度目の露光の重ね合わせ(オーバーレイ)精度が非常に重要であるため、露光機の重ね合わせ精度向上技術は必要不可欠だが、ここでは露光機メーカー以外に注目する。

図3は、マルチパターニング技術に関連する特許ファミリーの特許総価値占有率の上位12社の特許総価値占有率と競争力指数を示す(液浸リソグラフィー技術や露光機の重ね合わせ精度向上技術などとの共通技術の特許とは重複しない分類を採用)。

図3 マルチパターニング技術の特許総価値上位12社の特許総価値占有率と競争力指数

特許総価値占有率の上位2社は大手ファウンドリーのTSMCとサムスン電子であり、6〜9位にはGF、インテル、SMICなど他の半導体製造企業もトップ10にランクインする。3〜5位の米ラムリサーチ、東京エレクトロン、米アプライドマテリアルズは大手の半導体製造装置メーカーであり、この技術に必要なエッチング装置や成膜装置などを製造する。

注目すべきは半導体設計支援ソフトウエアの大手ベンダーの米シノプシスと米ケイデンス・デザイン・システムズもトップ12にランクインしていること。マルチパターニング技術は電子設計自動化(EDA)ツールを用いた回路パターンのレイアウト設計の段階から考慮する必要があることが示唆される。つまり、半導体製造企業の製造プロセスそのものに幅広く関わる技術であることが分かる。日本企業は東京エレクトロンが4位に入ってはいるものの、液浸リソグラフィー技術のランキングと比べると影が薄い。

TSMCの熊本第1工場で適用される準先端半導体の微細化技術の特許分析で分かるのは、同社が2つの重要技術の特許総価値でランクインしていることだ。これは準先端半導体の量産における同社の総合的な競争力を示すといえる。28〜12nmノードのプロセスにより熊本で量産される準先端半導体は自動運転車や産業機器向けの需要が期待されているため、同社にとっても重要な複数の日本企業が顧客またはサプライヤーとして半導体サプライチェーンの強じん化に寄与するだろう。

第2工場には注目の「極端紫外線リソグラフィー技術」

TSMCは24年2月、熊本第2工場の建設計画を発表。27年末の操業開始を目指す第2工場では40nmノードと6/7nmノードのプロセスによる製造も行うという。40nmノードには液浸リソグラフィー技術が用いられると見られるが、6/7nmノードにはTSMCが他社に先駆けて19年に量産技術として採用した「極端紫外線(EUV)リソグラフィー技術」が適用されると見られ、関係者の注目が高まっている。

(レクシスネクシス  金原淳一)

[日経ビジネス電子版 2024年4月15日の記事を再構成]

日経ビジネス電子版

週刊経済誌「日経ビジネス」と「日経ビジネス電子版」の記事をスマートフォン、タブレット、パソコンでお読みいただけます。日経読者なら割引料金でご利用いただけます。

詳細・お申し込みはこちら
https://info.nikkei.com/nb/subscription-nk/

鄭重声明:本文の著作権は原作者に帰属します。記事の転載は情報の伝達のみを目的としており、投資の助言を構成するものではありません。もし侵害行為があれば、すぐにご連絡ください。修正または削除いたします。ありがとうございます。